是操作员的标志带

银证驿站通
网点预约排队
产品·服务
预期年化收益率
预期年化收益率
预期年化收益率
预期年化收益率
关注官方微信Materials for a better life
Capital Markets Day 2018
Umicore is leading the way in clean mobility.See how we are Powering Ahead at our upcoming Capital Markets Day June 6th and 7th in Seoul.
Annual report 2017
Record results, rechargeable battery materials growth, environmental performance & sustainable supply progress.
Discover our progress in 2017.
A framework to ensure sustainable cobalt sourcing
Umicore is the first company in the world to have introduced a Sustainable Procurement Framework for Cobalt and is the first to obtain external validation for its ethical procurement approach in this area. It aims to minimize the risk of any connection between the cobalt in its supply chain & and subsequently that of its customers & and human rights abuses or unethical business practices.
Introducing Horizon 2020
Umicore&s Horizon 2020 strategy sets out clear goals for profitable growth. Discover more about the drivers that underpin the company&s prospects between 2015 & 2020 as well as how Umicore is positioned to turn this potential into reality.
Powered By Umicore
Powered by Umicore&is the educational clean mobility programme of Umicore. Umicore supports engineering student teams that build electric vehicles to compete in international competitions such as Formula Student, Shell Eco-marathon and the Dutch&Solar Challenge. Their innovative vehicles spread the message that sustainable mobility can be a reality.
Umicore in 30 seconds
Discover some of the ways Umicore products make a positive contribution&in 30 seconds
Annual report 2017
A framework to ensure sustainable cobalt sourcing
Introducing Horizon 2020
Powered By Umicore
Umicore in 30 seconds
Element of the month
The mineral from which germanium was first extracted came from a mine in Germany, which gave the metal its name.
Our elements
Business Units
Find a career in:
REGIONAL WEBSITES
Umicore uses certain monitoring and tracking technologies such as cookies. These technologies are used in order to maintain, provide and improve our services on an ongoing basis, and in order to provide our web visitors with a better experience.
By clicking on the button accept you agree to the use of these cookies while using the website. For further information regarding how we use cookies and other tracking technologies, please see section 10 of our website .&
Necessary Cookies (required)
Necessary cookies are essential and help you navigate our website. This helps to support security and basic functionality and are necessary for the proper operation of our website, so if you block these cookies we can't guarantee your use or the security during your visit.
Performance cookies
Cookies that help us to understand the behaviour of users of our website. This allows us to continuously improve our website to provide the best information in support of our project aims. These cookies are also used to help us understand how effective our website is. For instance these cookies tell us which pages visitors go to most often and if they get error messages from web pages.
Industries
Automotive
Manufacturing
Optics and displays
Precious metals
Electronics
About Umicore
The Umicore Way
Powered by Umicore 2018
Financial data
News & Results
Share information
Governance
Working at Umicore1.负责岗位的环境卫生、工艺卫生,做好个人卫生。
2.严格按照岗位操作规程、设备操作规程、清洁规程进行操作,保质、保量按时完成生产任务。
3.负责设备的使用及日常维护保养工作。
4.生产过程中出现设备或工艺异常情况时,及时向车间主管报告,以便及时处理。
5.保持工作现场整洁,生产结束后及时清场。
6.及时、准确地填写岗位生产记录和清场记录。负责悬挂设备、物料、操作间的状态标志。
7.生产完毕,按规定进行物料平衡、收率的计算,物料平衡值或收率必须符合规定限度,否则按偏差处理程序进行处理。
8.负责上、下工序之间的交接工作。
9.做好安全生产,节能降耗工作。
10.对本岗位的产品质量负责
11.负责完成车间主任/班组长安排的其它任务。
  ◆高中或职业中专以上文化程度。
  ◆经过相关制药方面培训。
  ◆在制药企业操作岗位工作1年以上。
◆较强的动手能力和设备操作技能,做事严谨。 
  ◆具有较强的工作责任感,工作细致、有耐心。
  ◆良好的服从性和团队合作意识。
员工旅游交通补贴餐饮补贴专业培训绩效奖金定期体检周末双休五险传统节日福利生日礼金
在招聘、录用期间要求你支付费用的行为都必须提高警惕。以招聘为名的培训、招生,许诺推荐其他工作机会,甚至提供培训贷款,或者支付体检、服装、押金和培训等费用后才能录用工作的,都属于违法行为,应当提高警惕。一经发现,请,并向当地公安机关报案。
简历匹配度
申请该职位
热门职位热门城市周边城市
无忧工作网版权所有(C)51job.com(沪ICP备)&Verilog 是
(HDL)曾经塑造 . 语言(有时叫 Verilog HDL)支持设计、证明和实施 , 和
在各种各样的水平 .
Verilog的设计师想要一种语言以句法相似于
因此它是跟熟悉工程师和欣然接受了。 语言是 有a
例如&如果&和&当&,是相似的时。 格式化机制在打印的惯例和语言
也是相似的。
语言不同用一些根本方式。 Verilog用途开始或结束而不是卷曲括号定义代码块。 常数的定义在Verilog与他们的基地,因而这些一起要求位宽度不同。 然而Verilog 95和2001没有结构,尖或者递归子程序,
现在包括这些能力。 终于,时间的概念-很重要对HDL-在C.不会被发现。
语言与常规不同
不严密地是连续的。 Verilog设计包括模块阶层。 模块定义与一套输入、产品和双向口岸。 内部,模块包含导线和记数器名单。 一致和连续声明通过定义口岸、导线和记数器之间的关系定义了模块的行为。 连续声明是被安置的里面开始或块规和按顺序执行在块之内。 但所有一致声明和所有开始或块规在设计在平行,合格的Verilog被执行作为a . 模块可能也包含另一个模块一个或更多事例定义次级行为。
声明的一个子集在语言是 . 如果模块在设计包含仅synthesizable声明,软件可以用于变换或综合设计入a
那描述在硬件和连接将实施的基本成分。 netlist也许然后被变换入,例如,形式描述
(即。 )或a
(即。 a ).
Verilog代表核实逻辑。
1.2 Verilog-95
1.3 Verilog 2001年
1.4 Verilog 2005年
1.5 SystemVerilog
3 常数的定义
4 Synthesizeable修建
5 最初和总
6 分叉或加入
7 竞争状态
9 系统任务
10 程序语言接口(PLI)
11 模仿软件
12.1 另外的材料
12.2 相关语言
13 外部链接
13.1 Verilog资源
13.2 标准发展
13.3 Verilog工具
13.4 开放来源Verilog工具
Verilog被发明了
并且Prabhu Goel在冬天期间在自动化的联合设计系统(以后改了名 )
作为塑造语言的硬件。 门户设计自动化以后被购买了
在 . 节奏现在有充分的所有权到门户的Verilog和VerilogXL模拟器 .
Verilog-95
以增长的成功
在,节奏决定使语言可利用为开放时候 . 节奏转移了Verilog入公共领域在之下
(OVI) (现在以著名 )组织。 Verilog以后递交了
并且成为了
标准,共同地指Verilog-95。
Verilog 2001年
引伸对Verilog-95递交回到IEEE包括缺乏用户在原始的Verilog标准发现了。 这些引伸成为了
标准以Verilog-2001著名。
Verilog-2001是重大升级从Verilog-95。 首先,它增加明确支持为(2补全)签字的网和可变物。 早先,代码作者必须使用笨拙位级操作进行签署操作(例如,简单的8位加法的运载位要求布尔代数的一个明确描述确定它的正确价值。)同一个作用在Verilog-2001之下可以由其中一名固定操作员更加简洁地描述: +, -,/, * &&&。 引起或endgenerate修建(相似与VHDL引起或endgenerate)允许Verilog-2001通过正常决定操作员(case/if/else)控制事例和声明instantiation。 使用引起或endgenerate, Verilog-2001罐头instantiate事例,以对各自的事例的连通性的控制。 几项新的系统任务改进了文件输入/输出。 并且终于,介绍几句法加法改进代码可读性(即。 总@*、命名参量代理佣金、C样式作用或者任务或者模块倒栽跳水声明。)
Verilog-2001是多数支持的Verilog统治味道商业EDA软件包。
Verilog 2005年
不混淆与 , Verilog 2005年 ( 标准)包括较小更正、spec阐明和几新的语言特色(例如uwire主题词。)
Verilog标准的一个分开的部分, 企图集成塑造与传统Verilog的模式和复合信号。
SystemVerilog
主要文章:
Systemverilog是a
Verilog-2005,以援助设计证明和设计塑造的许多新的特点和有能力。
高级证明语言出现例如 和Verisity的E语言鼓励了发展
由Co设计Automation Inc. Co设计自动化公司以后被购买了 . Superlog和维拉的基础捐赠了 以后成为
标准P : SystemVerilog。
模块扼要;最初开始$display (&你好世界! &);$末端endmodule
一个简单例子的二
模块上层(时钟,重新设置);输入时钟;输入重新设置;reg flop1;reg flop2;总@ (posedge重新设置或posedge时钟),如果(重新设置)开始flop1 &= 0;flop2 &= 1;末端开始flop1 &= flop2;flop2 &= flop1;末端endmodule
&&= "操作员在verilog是另一个方面的它是硬件描述语言与一种正常程序语言相对。 这通认作为&非阻塞&任务。 当模拟运行时,所有信号分配与&&= "操作员有他们的预定的任务发生,在发生在同样此刻期间的所有声明执行了之后。 在所有声明为一个事件之后被执行了,预定的任务进行。 这使它更加容易编码同时发生的行为。
在上述例子, flop1被分配flop2,并且flop2被分配flop1。 这些声明在同一时期是被执行的事件。 因为任务编码与&&= "非阻塞操作员,预定任务发生在事件的结尾。 到那时,所有读对flop1,并且flop2将使用他们有在时间事件初的价值。
这意味着任务的顺序是毫不相关的,并且导致同一个结果。 flop1和flop2将交换价值每个时钟。
另一个选择为任务是&=&操作员,并且这通认作为一项阻拦的任务。 当&=&操作员使用时,他们发生很象一种程序语言的事在序列发生。
在上述例子,如果声明使用了&=&阻拦操作员而不是&& = ",声明的顺序将影响行为: 重新设置将设置flop2到1和flop1到0。 时钟事件然后将设置flop1对flop2,是1在重新设置以后。 下个声明随后将被执行和设置flop2对flop1,现在是1。 而不是交换重视每个时钟, flop1和flop2将成为1并且保持那个方式。
电路跟随:
模块Div20x (rst、clk、cet、等概率圆,计数, tc);//标题&划分由20柜台与使能& //使能等概率圆是时钟使能仅//使能CET是时钟使能,并且//使TC使用Verilog语言参量大小输出//柜台= 5;参量长度= 20;输入 //这些输入或产品代表输入 与模块的//连接。输入输入等概率圆;产品[大小1 :0]计数;产品reg [大小1 :0]计数; 被分配的//信号//在一个// (或最初)块//之内必须总是类型reg导线 //其他信号是类型导线如下总声明是一个平行的//施行声明的// //总任何时侯执行信号// rst或clk转折从低落到上流@ (posedge clk或posedge rst),如果(rst) //这导致cntr计数的重新设置 &= 5 ' b0;如果(cet &&等概率圆) //使能两真实开始,如果(计数==长度1)计数 &= 5 ' b0;计数 &=计数+ 5 ' b1; // 5 ' b1是5位末端
//宽和相等的//到价值1。// tc的价值连续被分配表示的价值分配tc =的// (cet && (计数==长度1));endmodule
延迟的例子:
...reg a, b, c,导线e;&@ (b或e)总开始a = b &b = a |#5 c =d = #6 c ^末端
上面条目总说明用途方法的另一个类型,即。 条目在名单变动总执行,在其中任一个体,即时侯。 b或e变动。 当这些中的一个改变时,立刻a和b被赋予新的价值。 在5个时间单位延迟, c被分配之后b的价值和c ^ e的价值在一家无形的商店被卷起。 然后在6个多时间单位以后, d被赋予被卷起的价值。
被驾驶从过程内部的信号(最初或总块)必须是类型reg。 被驾驶从境外过程的信号必须是类型导线。 主题词reg必要不暗示一个硬件寄存器。
常数的定义
常数的定义在Verilog支持宽度参量的加法。 基本的句法是:
&宽度在位&&&基本的信件&&数字&
12 ' h123 -十六进制123 (使用12位)
20 ' d44 -小数44 (使用20位- 0个引伸是自动的)
4 ' b1010 -二进制1010年(使用4位)
6 ' o77 -八77 (使用6位)
Synthesizeable修建
如被提及早先,有可以用于代表硬件的几块基本的模板。
// Mux例子-三种方式做同一件事。//第一个例子使用连续的任务导线;分配= sel ? a ://第二个例子使用一个做法//完成同一件事。@ (a或b或者sel)总开始案件(sel) 1 ' b0 : =1 ' b1 : =endcase末端最后// -您在//程序结构能使用if/else。总@ (a或b或者sel),如果(sel) ==
下个有趣的结构是一个透明门闩; 它将通过输入对产品,当门信号为&全部转嫁&被设置,并且夺取输入并且存放它在门信号的转折&举行&。 当门被设置对&举行&时,产品将依然是稳定不管输入信号。 在例子在&全部转嫁之下&级门是价值的,如果条目是真实的,即。 门= 1。 这读&如果门是真实的,声浪连续哺养对latch_out&。 一旦,如果条目是错误的,最后价值在latch_out将依然是并且是声浪的价值的独立。
//透明门闩例子总@ (门或声浪),如果(门) =声浪; //通过状态这里没有需要的//笔记。 当门高时,易变的//将跟随声浪的价值。//,当门去低,将保持恒定。
啪嗒啪嗒的响声是下块重大模板; 在verilog, D拍击声是最简单的,并且它可以被塑造如下:
总@ (posedge clk) q &=
重大事对在例子中的通知是对非阻塞任务的用途。 一种基本的概测法是使用 &= 当有a posedge 或 negedge 声明在总条目之内。
D拍击声的变形是一个以异步重新设置; 有大会重新设置状态将是一个,如果条目在声明之内。
总@ (被重新设置的posedge clk或posedge),如果(重新设置) q &= 0;q &=
下个变形包括异步重新设置和异步安置状态; 大会再开始活动,即。 重新设置期限由集合期限跟随。
总@ (posedge被设置的clk或posedge重新设置或posedge),如果(重新设置) q &= 0;如果(设置) q &= 1;q &=
最后的基本的变形是实施一阵D拍击声与哺养它的输入的mux的一个。 mux有d输入和反馈从拍击声。 这允许一个装门的装载作用。
//基本的结构用总一条明确反馈通道@ (posedge clk),如果(门) q &=q &= //明确反馈通道更加共同的结构假设的//反馈是当前//这是的一个安全假定,因为这是怎么//硬件编译器将解释它。 这个结构//看很象门闩。 区别是// ''' @ (posedge clk) '''和非阻塞'''& = '''总// @ (posedge clk),如果(门) q &= // && mux &被暗示&
看原始的逆例子您能看半新的基本的异步重新设置拍击声和装门的输入拍击声的组合。 记数器可变物 计数 调整到零在上升边或 rst. 当 rst 是0,可变物 计数 将装载新的数据,当 cet &&等概率圆 是真实的。
有宣称verilog过程二种不同方式。 这些是 总 并且 最初 主题词。 总 主题词在伴随的事件控制(@)条目表明一个自由振荡的过程那触发器。 最初 主题词表明过程一次确切地执行。 两修建开始施行在模拟器时间0,并且两个执行直到块的末端。 一个块总一次到达了它的末端,它被重新编排(再)。 它是一种常见的误解相信一个最初的块将执行,在一个总块之前。 实际上,认为最好的 最初-块作为特别情形 总-块,终止的一,在它第一次之后完成。
//Examples :最初开始a = 1; //赋予价值到reg a在时间0 #1; //等待1个时间单位b = //总赋予reg a到reg b末端@ (a或b) //的价值, a或b变动,跑过程开始,如果(a) c =d = ~b;结束//做与这个块,现在回到上面(即。 @事件控制)总@ (posedge a)/跑,每当reg a有低落对高变动a &=
这些是经典用途为这二个主题词,但有二重大另外的用途。 这些的最共同性是 总 没有的主题词 @() 敏感性名单。 使用总如所示如下是可能的:
总不要开始//总开始执行在时间0和停止clk = 0; //设置了clk对0 #1; //等待1时间单位clk = 1; //设置了clk对1 #1; //等待1个时间单位末端//继续执行-,因此继续在开始的上面
总 主题词行动相似于&C&修建 当时(1) {。} 在感觉它永远将执行。
另一个有趣的例外是用途对 最初 主题词增加 永远 主题词。
如下例子与是功能上相同的 总 上面的例子。
最初//永远以时间0开始并且重覆开始或末端永远开始clk = 0; //设置了clk对0 #1; //等待1时间单位clk = 1; //设置了clk对1 #1; //等待1个时间单位末端
分叉或加入
分叉或加入 verilog用于对创造平行的过程。 所有声明(或块)在叉子之间或加入对同时开始施行在施行流程击中 叉子. 施行在以后继续 加入 在最长的连续声明或块的完成在之间 叉子 并且 加入.
最初的叉子$write (&A&); //印刷品炭灰A $write (&B&); //印刷品炭灰B开始#1; //等待1个时间单位$write (&C&); /印刷品炭灰C末端加入
方式在上面被写,有或者序列&ABC&是可能的或&BAC&打印。 模仿顺序在第一$write和第二$write之间取决于模拟器实施。 这说明其中一个最大的问题与Verilog。 您能有竞争状态,语言执行单不保证结果。
施行顺序没有在verilog之内总被保证。 这可能由一个经典例子最好说明。 考虑代码片断如下:
标注姓名起首字母a = 0;最初b =最初开始#1;$display (&b=%b&, a, b的价值a=%b价值);末端
什么为a和b的价值将被印? 井-或许它可能是0和0或者0和X! 这所有依靠大约最初的块的施行。 如果模拟器调度程序从文件的上面运作对底部,则您会得到0和0。 如果它从模块的底部开始并且工作,则b在模仿初将接受a的原始价值 以前 它初始化了到0 (没被设置的其中任一可变物的价值explicitily被设置对X.)这是的方式您在模仿能体验一个竞争状态。 如此小心! 注意第3个最初块将执行,您期望由于#1那里。 那是不同的点在时间轮子在更加早期的最初的块的时期0,因而两个之外完成了施行。
操作员类型操作员标志操作执行了
方次数(*Verilog-2001)
大于或等于
小于或等于
逻辑平等(位价值1 ' bX从比较被取消)
逻辑不平等(位价值1 ' bX从比较被取消)
4状态逻辑平等(位价值1 ' bX被采取作为印刷错误)
4状态逻辑不平等(位价值1 ' bX被采取作为印刷错误)
逻辑正确的转移
算术正确的转移(*Verilog-2001)
算术左移(*Verilog-2001)
系统任务是可利用处理简单的输入/输出,并且各种各样的设计测量起作用。 所有系统任务加前缀与 $ 与用户任务和作用区别他们。 这个部分提出最常用的任务一个最后的名单。 它绝不是一张全面名单。
$display -筛选线的印刷品跟随由一自动换行符。
$write -筛选线的印刷品,不用换行符。
$swrite -印刷品到可变物没有换行符的一条线。
$sscanf -从可变物读格式指定的串。 (*Verilog-2001)
$fopen -对文件打开把柄(读或写)
$fdisplay -写归档一自动换行符跟随的线。
$fwrite -写归档线,不用换行符。
$fscanf -读从文件格式指定的串。 (*Verilog-2001)
$fclose -关闭和发布开放文件处理。
$readmemh -读不吉利的东西文件内容入记忆列阵。
$readmemb -读二进制文件内容入记忆列阵。
$monitor -印刷品所有列出的可变物,当其中任一改变价值。
$time -当前模仿时间的价值。
$dumpfile -宣称VCD ()格式输出文件名字。
$dumpvars -打开并且倾销可变物。
$dumpports -打开并且倾销可变物以延长的VCD格式。
$random -退回任意价值。
程序语言接口(PLI)
程序语言接口提供一位程序员以转移的控制从Verilog给用C语言写的节目作用。 它是 正式地
由IEEE Std 倾向于新 完全地替换PLI。
PLI使Verilog与用C语言写的其他节目合作例如 ,
, 等等。 例如,它提供用于写和读当前Verilog任务或作用的论据分别名为tf_putlongp ()和tf_getlongp的C作用()。
对于信息关于Verilog模拟器,看见 .
另外的材料
Verilog资源
- Accellera Verilog模式混杂信号小组网站。
-广泛的自由网上讲解以许多例子。
- Verilog资源首放名单在互联网。
(&布加勒斯特Politehnica&大学)。
可利用作为开放来源。
qualis Design Corporation (-). "". 1.1. . qualis Design Corporation
- Doulos。 好为初学者。
- asic.co.in。
-斯图尔特Sutherland HDL, Inc. Sutherland
-官员标准为Verilog 2001年(不自由)。
-工作组为Verilog (不活泼)。
-工作组为SystemVerilog (替换得上述)。
-句法的描述 . 这把IEEE-1364标准日期填早。
-沉重连接的BNF句法为Verilog 2001年(引起 ).
Verilog工具
-一台快速的Verilog模拟器,主要基于Unix。
-混杂语言模拟器、支持的Verilog-2001和SystemVerilog。
-混杂语言模拟器、支持的Verilog-2001和SystemVerilog。
-一台便宜的窗口只Verilog模拟器。
- Verilog 2001年模拟器为窗口和Unix
开放来源Verilog工具
-打开来源Verilog模拟器,支持的Verilog-2001和完全 .
一个自由vcd信号波形观察者为verilog和vhdl。 与GPL CVer一起使用。
-打开来源Verilog模拟器和综合工具,支持的Verilog-2001和(部份地) .
-打开来源阶评论简化维护的Verilog代码的系统。
-自由Verilog /C ++编译器和其他公共事业
verilog模仿项目。
托马斯, Donald, Moorby, Phillip &Verilog硬件描述语言& Kluwer学术出版者, Norwell, MA。
说明综合修建的康奈尔ECE576路线
Janick Bergerdon, &写试验台: HDL的功能证明塑造", 2000年, . (HDL试验台圣经)
Verilog-2001添加了generate循环,允许产生module和primitive的多个实例化,同时也可以产生多个variable,net,task,function,continous assignment,initial和always。在generate语句中可以引入if-else和case语句,根据条件不同产生不同的实例化。
&& generate语法有generate for, genreate if和generate case三种。
1. generate for例子:
&& generate && //generate 8 samll fifo for in_data[i] 8X72&& for(i=0; i&NUM_QUEUES; i=i+1) begin: in_arb_queues //NUM_QUEUES = 8&&&&& small_fifo&&&&&&& #( .WIDTH(DATA_WIDTH+CTRL_WIDTH),&&&&&&&&&& .MAX_DEPTH_BITS(2))&&&&& in_arb_fifo&&&&&&& (// Outputs&&&&&&&& .dout&&&&&&&&&&&&&&&&&&&&&&&&&& ({fifo_out_ctrl[i], fifo_out_data[i]}),&&&&&&&& .full&&&&&&&&&&&&&&&&&&&&&&&&&& (),&&&&&&&& .nearly_full&&&&&&&&&&&&&&&&&&& (nearly_full[i]),&&&&&& .prog_full&&&&&&&&&&&&&&&&&&&&& (),&&&&&&&& .empty&&&&&&&&&&&&&&&&&&&&&&&&& (empty[i]),&&&&&&&& // Inputs&&&&&&&& .din&&&&&&&&&&&&&&&&&&&&&&&&&&& ({in_ctrl[i], in_data[i]}),&&&&&&&& .wr_en&&&&&&&&&&&&&&&&&&&&&&&&& (in_wr[i]),&&&&&&&& .rd_en&&&&&&&&&&&&&&&&&&&&&&&&& (rd_en[i]),&&&&&&&& .reset&&&&&&&&&&&&&&&&&&&&&&&&& (reset),&&&&&&&& .clk&&&&&&&&&&&&&&&&&&&&&&&&&&& (clk));&& end // block: in_arb_queues&& endgenerate
2.generate if例子:
&& generate&&&&& if (REG_WIDTH == WRITE_WIDTH) begin : new_data_a_generation&&&&&&&& assign new_data_a = merge_update ? merge_wr_data : held_wr_data_a;&&&&& end&&&&& else begin&&&&&&&& assign new_data_a = merge_update ? &&&&&&&&&&& {{(REG_WIDTH - WRITE_WIDTH - 1){merge_wr_data_sign}}, merge_wr_data} :&&&&&&&&&&& {{(REG_WIDTH - WRITE_WIDTH){held_wr_data_sign_a}}, held_wr_data_a};&&&&& end&& endgenerate
PS:这两个例子都是netfpga源代码。
阅读(...) 评论()登录人民网通行证 &&&
当网红,打工是不可能的
日07:22&&来源:
塘红乡F8合影
拥有600万粉丝的三炮,是靠“土”和“叛逆”走红网络的。在激荡着乡村非主流风的配乐中,他和同伴戴着鲜艳的杀马特假发在村头尬舞,骑着改装过的家用摩托车在山路上翘车头,把柴房当KTV自嗨,在发廊用瓦刀染头发……
这些都是《叛逆少年》中的场景。一年多前,三炮开始在快手上发布这个用手机拍出的系列搞笑短片,很快,这个初中没毕业、曾在广东打工的农村青年,成了快手广西第二大网红。
在广西上林县塘红乡,他家贴着瓷砖的小楼快成了旅游景点。每到周末,总有十几岁的农村少年结伴骑着摩托车寻过来。有的希望三炮收自己为徒,有的追星般偷拍几张照片后悄悄溜走。一个贵州少年骑了50多天单车过来,只为瞧上一眼。
如今,和三炮一样放弃打工、返乡拍段子的年轻人越来越多。“打工是不可能打工的,这辈子都不可能打工的。”正如这个在快手上被翻拍了无数次的段子所喻示的,三炮和他在农村的追随者们都在渴求一种新的人生自由――不打工。
6月的一天上午,三炮家的后院里,上万只蚕慵懒卧在层层叠叠的桑叶上,许久不见动弹。院外蝉鸣不已。
塘红乡车别庄仅剩的3个留在家乡的年轻人――《叛逆少年》里的三炮、表哥和疼叔,正在酣睡,网络的世界昼夜颠倒。
在现实中,他们是堂兄弟,一起长大,一起外出打工,如今一起在老家拍段子。有人戏称他们是“留守青年”。但和父辈共同生活的他们,更像活在另一个平行时空里。
三炮的父母早已出门采桑叶。儿子走红的网络世界,似乎与他们无关。街上每隔两天有集市,兜售簸箕之类的农具,买卖者几乎都是中老年人。
下午三四点,阳光不再那么刺眼,车别庄突然闹腾起来。
玩快手的年轻人醒了。公路上传来机车轰鸣声,同样留守塘红乡的蓝城、大表哥、小马林、大卫和阿蓝陆续到来。在一片片红色裸砖楼房中,三炮家的黄色小楼格外显眼,它是少数外墙贴了瓷砖、所有楼层都装了门窗的房子。方圆几十里,这是年轻人最密集的地方。
大家直呼网名,几乎全是95后,清一色穿网购的T恤衫,脚下是粘着泥的拖鞋。
客厅台式机35英寸的曲面屏亮了,大表哥坐在电脑前的转椅上,身体跟着音乐节拍摇晃,不时打着响指。
拍段子是一天中最重要的工作。想出搞笑的梗最难,灵感可能源自任何地方。听到一段魔性的音乐,想起电影中某段经典台词,或是瞥见门口快要散架的黑色28杠自行车、扔在院中一角的大红色编织袋……一个关于打工或返乡的段子就此诞生。
三炮坐在小板凳上沉思了一会儿,决定拍一个模仿《流星花园》F4耍酷的段子。他和表哥、小马林戴上拉直的斜刘海假发,大表哥套上暗红色西装,踩上7块钱一双的黄色塑料凉鞋。他们要扮演刚从广东打工归来、在村里风光无限的年轻人。
4个人拖着帆布拉杆箱,手插裤子口袋,一边沿着村口公路漫步,一边面无表情地望向跟拍的手机镜头。大表哥从西装口袋缓缓掏出一把塑料小梳,向上捋了捋头发,漫不经心地将梳子朝脑后一抛,留给镜头一个不羁的白眼。
在村口来回走了近10遍,三炮总算觉得“那种感觉到了”。拍完后,头发蓬乱的他坐在家门口垃圾堆旁的钢管上,低头用手机自带的软件剪辑视频。几年里,他用这个软件鼓捣出了上千个作品。
和其他人一样,初中没毕业的三炮说不出这个只有英文名的软件叫什么,只知道它的图标是一颗星星。
这个不到一分钟的段子最终在快手上收获了超过400万播放量,20万个赞。
有人称三炮是“快手周星驰”。对他拍的《叛逆少年》系列,有网友评价“笑得不能自理”“大片即视感”“演技比一些小鲜肉好多了”“拍摄和剪辑相当专业”。
“都是本色出演。”三炮笑了笑。这帮农村青年从未接受过任何专业的表演训练。在拍段子之前,他们在广东操作冲压机、做模具、打包装、炸鸡块、修车……
四五年前,他们从未想过,有一天,他们会成为网红。
在《叛逆少年》中,几乎每个角色都个性鲜明。
三炮是穿着校服的初中生,呆傻木讷,总被人欺负;表哥是个护弟狂魔,老实中带点闷骚气质;大表哥是个非主流忧郁青年,经常陷入伤感回忆中;酱爆痞里痞气,天不怕地不怕,就怕阿妈打电话;小马林是车神,骑摩托车会翘头,每次出场都引发女生尖叫;疼叔则是当年叱咤塘红的老车神,如今退隐江湖,走村串户卖豆腐。
从广东打工归来的大表哥,带来了令人艳羡的“贵族气息”――他留着鲜红杀马特发型,穿着用别针拢住裤裆的西裤,身上挂着泛光的铁链,在村里坚持说普通话。他还使劲将两个表弟往时髦的路上推,带他们喝“不加奶的珍珠奶茶”,去乡里的野狼沙龙做头发。
一天,大表哥挥舞着铁链,教两个表弟“吸引异性的舞蹈”,蹲在树林中暗中观察的酱爆闪了出来。
他喊着周星驰电影中的经典台词登场:“在捏个moment,我酱爆感觉到,我要爆呃!”
“你是哪个厂的?”音乐骤停,身上满是水泥的大表哥扔掉铁链。
“天城五金厂,3号车间,580吨冲压机,操作员,酱爆呃!”身穿带毛领的天蓝色西装、留着紫色杀马特发型的酱爆缓缓仰起头,竖起大拇指、食指和小拇指。
“酱爆?!”三炮和表哥同时瞪大了眼。
天色渐暗,山间树林飘荡着黑黢黢的影。酱爆用三只手指伸进上衣口袋,夹出手机,搁在地上作舞台灯光。他走近大表哥,冷冷地说,“如果我没有猜错,你的口袋里还有半斤水泥。”
大表哥咬了咬嘴唇,狠狠地将口袋中的水泥一把把砸向地面,一场斗舞在尘土飞扬中开始。
莫名的台词、夸张的表演、怀旧的配乐,让这段农村尬舞极具魔幻现实色彩。很多人不知道,这段无厘头剧情并非完全虚构。
有一次直播,三炮做出酱爆三根指头冲天的经典手势,问他们,“这是什么意思?”
屏幕上弹出一条条“摇滚”“耍酷”等回答。三炮不断摇头。
这个手势源于真实的打工经历。
初二,三炮辍学了,他“也想出去打工”。
那些沾染了城市气息、衣着洋气,说话夹杂着普通话、给村里孩子买糖的打工者,对小山村的少年来说闪着奇异的光芒。村里老人种田一年的收入赶不上他们打工一个月。读小学时,三炮家还是土房子,有一次他洗澡时,整面墙“哐地”倒了下来。那时,他吃得最多的是猪油拌饭,很少见到肉。
出去打工意味着,有钱,能做自己想做的事。初中时,三炮迷上网络,QQ空间背景是一片黑,签名是无头无尾的句子,夹着符号堆砌的“火星文”。他的头发快到肩膀,斜刘海几乎遮住半边脸,自以为相当“飘逸”。但他最羡慕表哥的发型,后面不是塌下来的,而是向上飞起的爆炸头,三炮一直想弄个一样的,却苦于没钱烫发根。
蓝城是酱爆的扮演者,他比三炮高一届,少年时他迷上了音乐。在网吧一边打游戏,一边戴着大耳机听歌,当尖锐颤栗的电音、语速飞快的说唱从耳机中传出,他瞬间感觉电流击遍全身。
塘红乡没有KTV,蓝城和几个同学请病假跑去县城。几十公里的路,坑坑洼洼,他们骑着摩托车硬挺挺地驶过。唱歌的钱,是前一周吃泡面攒出来的。他喜欢点周杰伦的歌。唱完歌,几个男生挤在小宾馆30块一晚的房间里,第二天赶回学校。
初中两年,无心学习的三炮没买过一支笔,实在要写字就找同桌借。平时上课,他总趴在桌上睡觉。
初二下学期,三炮离开了学校,退学手续都没办。疼叔算是个循规蹈矩的学生,他原本想上高中,但中考分数还不到总分一半。家里供不起他读职校,只好放弃。在他的班上,仅仅两人升入了县城的普通高中。
大多数人选择辍学去广东打工。临近中考时,老师会苦口婆心地给学生打电话,劝他们回来参加中考。大卫回来拿了个初中毕业证,毕竟有些工厂招聘要求提高了。
真正进厂后,三炮才发现,靠打工通往自由,只是一个农村少年的幻梦。
天城五金厂、冲压机和杀马特
三炮的工作是给产品打包装。每天工作11个小时,除了上厕所,一刻不能离开工位。他有点后悔辍学,“打工比上学辛苦得多”。
更难耐的是无聊和压抑。人成为机器的一部分,人类的肢体是它们延长的终端。每天,三炮的手重复着同一套动作,每过一小会儿,他就困得不行,头几乎要砸到桌上。
他开始学抽烟解闷。只有利用上厕所的5分钟,抽上一支烟,他才感觉自己获得了片刻的逃离。
蓝城去了老爸打工的厂,后来老爸在佛山办了个小作坊――天城五金厂。蓝城带着从前的同班同学大表哥,投向了这个日后蒙上神奇光晕的地方。
但在现实中的天城五金厂,工作庸常得几乎让人忘了自身的存在。车间生产锁具,比农村的厨房大不了多少。大表哥是冲压机操作员,每天重复三个动作上千次――左手将材料放入模具,右手调整,最后脚踩用两根手指踏板,几吨重的冲床哗地压下来,一个金属制品初步成型。
因为工作太无趣,蓝城在车间摆了个音箱,放DJ舞曲,他将音量开到最大,一边操作机器,一边摇晃身体。
一天,意外险些发生――大表哥差点没从机器里取出左手,一个指甲砰地断成两半。
小马林也差点因走神出事。他在另一家工厂操作机器,将标志印在产品包装上。有一次他没把产品放上去,把自个的手搁上去了,幸好是个小型机器,否则几根手指已经没了。
几年后拍《叛逆少年》,三炮没怎么想就设计出了冲压机操作员酱爆出场的标志性动作――三根竖起的手指。在他对工厂的记忆中,断指相当普遍,身边有朋友缺了好几根指头。
“很多人以为是很high的感觉,很酷,其实在厂里待过的人一眼就能看出来,我想表达的是手指被机器压断了。仔细看镜头,酱爆拿手机是用三根手指去夹的。”在直播间,三炮不停对粉丝强调,“在厂里上班的朋友们一定要小心啊!”
在工厂的压抑氛围中,蓝城见到了很多“杀马特”。他们非常在意外表,“想让别人觉得自己是最独特的”。这些年轻人穿着颜色鲜艳的西装,留着斜刘海和爆炸头,脚上是尖皮鞋,却做着“很脏很脏的工作”。
大家打招呼永远是同一句话:“你是哪个厂的?”比较工厂的大小、操作的机器、伙食有没有肉,成了这些打工青年虚荣心的膨化剂。
下了班,三炮认识了同乡的蓝城、小马林,一起玩摩托车,在水坝上翘头、飙车。
他们都自视“爱车如命”。摩托车是改装过的:卸了车头,这样玩翘头更轻便;加装了排气管,跑起来声音更响。塘红到佛山600公里,为了把摩托车从老家弄过来,他们冒雨骑了15个小时,期间还被警察逮住罚款。
镇上的杀马特们更浮夸,除了加装排气管,还在摩托车上缠着五颜六色的彩灯,连车轮的轴上都缠着。虽然车很拉风,但其实他们车技一般,三炮挺鄙视。《叛逆少年》中那辆缠满彩灯、贴着5块车牌、装着8根排气管的鬼火摩托车,就是为了嘲讽他们而设计的。
玩车久了,三炮开始渴望拍下和朋友玩车的日常。买一部拍视频效果不错的苹果手机,是他打工时最大的心愿。
刚来广东一年多时,他曾因买手机被骗过。那时他还是个木讷的“厂仔”,花300元在路边买了部“来路不明的苹果4S手机”。回宿舍后,他才发现手机开不了机。折腾了一周,他不肯放弃,将手机放在水里泡,用厂里的电容笔测试屏幕,用螺丝刀拧开后盖,直到他看到了一块黑乎乎的铁板,他才彻底醒悟――对方给他掉包成了模型机。
最终,即便厌倦了工厂,经常辞工的三炮入不敷出,他还是借钱买了部真正的苹果5S。他没想到,手机改变了他的命运。
从打工者到网红
最初玩快手的时候,三炮没想过靠它挣钱。
刚开始只是下班后拍拍炫车技的场景,他们在佛山拍了一年多,目睹着快手从gif时代升级到短视频时代。
随着粉丝增加,广告商找上门来。都是几十块钱的小广告,让他们在视频下面贴上微商的联系方式,有祛痘的、有卖面膜的,展示3天就可以删掉。蓝城接过15元一条的广告,小马林甚至接过10元一条的。
这几个年轻人逐渐意识到,在这个新崛起的流量平台上,粉丝就是钱。
拍多了摩托车,担心粉丝审美疲劳,他们开始尝试加入一些搞笑的故事情节。最初没什么创意,几乎每个视频结尾,三炮总被一脚踹下水坝。
每次从水里爬起来,三炮都会头疼发晕,但他觉得,只要剧情需要,一切牺牲都是值得的。跳水的次数多了,他发现“涨粉很快”。
尽管拍段子挣的钱不多,难以维持生计,但这几个年轻人觉得比打工强多了。几乎每个人说得最多的一句话是――“关键是自由”。
在天城五金厂只干了几个月,蓝城就待不下去了。老爸每个月只给他发300元工资,这位创业者还是老一辈人的观念――“反正等我老了,我的钱都是你的钱”。另一点也让蓝城极不适应,晚上出去和朋友玩,老爸经常管着他。
他逃一般地离开父母。过年时亲戚们问他:“以后准备干什么,不可能老是打工吧?”
“我想当明星。”蓝城说。他想唱歌,想上电视。
“神经病。”亲戚瞪他。他们所谈论的“不打工”,是去学一门技术,以后在厂里不用打杂,而是当师傅。
家人送蓝城去学做模具,他学了几个月不干了;他跑去炸鸡汉堡店当厨师,用小本子偷偷记下配方和机器型号,为以后自己开店做准备;汉堡店倒闭后,他去加油站当服务生,白天拍视频,晚上上班;专心拍段子前,他终于自己开了家网店,做DIY手机美容。
2015年年底,蓝城和三炮、小马林回到老家过年。喧闹的时刻过去,年轻人几乎都走了,塘红乡恢复了平日的空寂,他们却留了下来。
“在外面生活成本太高,要租房要吃饭,在家管吃管住。”三炮决定在家拍段子,才18岁的他已欠下好几万元。
这几个年轻人戴上假发,演老头、演女人、演杀马特,在村里跳泥潭、骑摩托,拿着手机到处拍来拍去,几乎没人明白他们在干什么。
在小马林的爸妈眼里,他们就像疯子一样,既不种地也不出去打工,“整天依依妖妖的(广西方言,形容不正经)”。
他们开始在家拍段子时,表哥正在山上扛木头,一天挣108元;疼叔还在广东修车,晚上老板打电话随叫随到;阿蓝在工地上搬砖、开吊机,他觉得工地比流水线上有意思,无聊时至少还能玩玩泥巴。
三炮让他们也加入,可疼叔觉得三炮没干正经事儿――每天晚上不睡觉,成天捧着手机。
直到诧异地看着三炮一点点还清欠款,甚至手头变得宽裕,疼叔终于意识到,网络世界里或许藏着生活的另一种可能。
加入的人越来越多,他们的创作力变得惊人,每天能拍出六七个段子。几个人的想法一碰撞,一个点子就蹦出来了。
三炮的粉丝量快速涨到了100万,不过,之后的上升路又变得相当缓慢。
几乎所有主播都在拼命争夺有限的关注度。三炮目睹过各种噱头的炒作:刚开始流行约架,一言不合拍桌子,学社会大哥叫嚣“风里雨里,我在高速路口等你”。还有一段时间流行自虐,有人把头埋在沙坑里,有人鞭炮炸裤裆,还有些人“东吃西吃”,对着镜头面无表情地咬下老鼠的头,嚼碎,吞下。
在用户平均学历不超过高中、多半来自农村或三四线城市的快手平台上,人们能看到形形色色的农村主播。许多段子手给自己打上标签“全村人的希望”,评论区经常出现“不嫌弃农村的点赞”。
三炮很难说服自己去炒作,“附近很多人会看到自己的视频”。
在玩了3年快手后,他做出一个尝试,开始拍搞笑长视频。与小段子相比,长视频要求更强的编剧能力,但它更适合讲故事。
从一开始,三炮就想好了系列视频的主题。叛逆少年,就是他自己,也是千千万万的农村普通少年。
成为下一个三炮
事实证明,三炮选对了路。
为了拍出好段子,三炮习惯了晚上不睡觉,漫无目的地看视频、看电影,从中找灵感,学镜头的连接,周星驰有的电影他看了几十遍。一起做后期的大表哥积累了上百个歌单,精心挑选每一首配乐。有时为了实现画面需要的“五毛钱特效”,大表哥会用手指一根根在手机上画5个小时。
《叛逆少年》拍了一年多,长度加起来接近一部90分钟电影。三炮的粉丝量一年内翻了五六倍。那些炒作约架、自虐、喊麦的主播,几乎都已被快手平台封禁。
6月的一天下午,3个00后少年骑摩托车来到了三炮家门外。他们来自几十公里外的邻镇,穿着拖鞋,留着蘑菇头,怯生生地蹲在围栏外。
这是他们第三次来了。他们能脱口说出三炮家什么时候贴的瓷砖,也能一眼认出《叛逆少年》中每个角色对应的演员。
对这几个男孩来说,三炮是唯一的偶像,“喜欢他视频里那种感觉,那就是我的生活”。说起电视上那些影视明星,他们摇了摇头,“不喜欢,离自己太远了”。
3个男孩中,一个初二辍学,正在跟师傅学印刷,以后想开个打印店。另外两个还在读初三,一个打算毕业后去学理发,一个计划读职高。
他们也渴望像三炮一样拍段子,过上和父母不一样的生活,“以后不打工”。有一个男孩甚至给自己列出时间表,5年内要像三炮那样成功。
随着粉丝越来越多,三炮也开始注意对未成年人的影响。视频中出现飙车剧情,他会加上“经过加速处理,请勿模仿”的提示。在直播间,三炮经常强调未成年人禁止给他送礼物。看到疑似小孩给他刷礼物,他会问,“你是不是还没成年啊?你加我微信,我把钱退给你。”
高考前一天,三炮和伙伴们在山间公路上拍视频。明晃晃的太阳下,镜头里,他用不标准的普通话调侃道:“六月高考不努力,七月工地做兄dei啊,兄弟们,高考加油!”
三炮身上年少成名、摆脱打工的光环,除了吸引一大群农村少年,也吸引着其他尚未成名的段子手。
短短两周,三炮家来了几批外县的快手团队。他们大多一边做小生意,一边拍段子,“从小有当演员的梦想,虽然现实不允许,但至少能在快手上当当戏精”。
他们来三炮家观摩学习、一起拍段子,顺便涨涨粉丝。有人总结,三炮家门前简直是块宝地,无论在这里拍点什么,都有相当概率上热门。
三炮家成了车别庄最热闹的地方。三炮的姑姑喜欢来这里小坐,和陌生的客人们聊天。她记得,今年大年初五,三炮家的小楼里、院子中甚至围栏外都站满了年轻人。村里归来的打工者、广西几大有名的快手团队、慕名而来的粉丝们欢聚一堂,他们尽情地吃饭、喝酒、谈天说地。
那一刻,在人声鼎沸中,三炮的姑姑有种感觉,这个曾因外出打工冷清沉寂的村庄恢复了她童年时的那种生气。
就算网络消失了,也不可能再去打工
村民们逐渐习惯了这群举止怪异的年轻人。三炮周末去村小学拍片,一个六年级的女孩从虚掩的门缝中瞥见了他们,拽着妹妹飞快地跑来围观拍摄;她们的父亲也好奇地戴上了紫色杀马特假发,拿起手机自拍。
没人认为他们不务正业了。靠着拍段子挣的钱,年轻人都装修了老家的房子,给自己买了车,三炮还给父母换了辆面包车,方便他们去收桑叶。
可对这群段子手来说,不安的心态并没有消失。即便是家乡,一样的云,一样的天空,看久了还是会腻的。
“我们现在就是原地踏步。”蓝城有强烈的危机感。团队中最有主见的他,似乎预见,网络带给他们的东西终有一天会衰减、甚至消失。
无论儿子的收入如何增加,他们的父母都坚持和从前一样辛苦劳作,养蚕、放羊、养猪、跑三轮、开大巴车。在他们眼中,孩子依靠网络的生活根本不可持续。
为了抵御这种风险,年轻人也努力在现实世界中拥有谋生能力:蓝城在卖潮鞋,疼叔在卖黑头贴,三炮即将在县城开个奶茶店。他和朋友从网上买回一箱箱材料,每天跟着课程学习做奶茶。
和从前不同的是,他们希望未来依靠灵活的头脑谋生。疼叔很笃定,“就算网络消失了,我也不可能再去打工的。 ”
蓝城坚持要转型。他张罗着成立了工作室,他们将不再是一个松散的团队,而是一个有组织的公司,在利益分配上会有更具体的约定。
蓝城还看到,他们要摆脱角色的束缚。《叛逆少年》系列给他们带来了关注,却也让他们陷在固化的角色里。在粉丝心中,三炮似乎永远都是村里那个穿着校服的初中生,疼叔是戴着秃顶假发的老头,大表哥是红发杀马特。
看到他们过得比以前好了,总有粉丝评论,“你们飘了,不像农村人了。”
三炮恰恰感觉自己“拉了”,人气掉了。虽然粉丝数还在稳定上涨,但是播放量没达到他的期望值。与俊男靓女的主播相比,他直播时的打赏并不高。
有时他觉得自己“很土”。去南宁参加盛大的广西网红聚会,三炮穿着白色字母T恤就去了,疼叔甚至拖鞋都没换。站在舞台上,身着礼服裙的主持人介绍三炮是“广西知名农村段子手”,与其他网红相比,他显得拘束,没说几句话。
在塘红农村老家,他们平日更加随性。三炮会在地上找没抽完的烟头,点燃了继续抽。表哥会帮亲戚杀猪,疼叔会在朋友盖房子时拎灰递砖。拍完段子,想吃鱼了,几个人径直跳下蓝城家的泥塘。
从前他们并不在意自己土,快手粉丝正是喜欢他们的土气。可去往更开阔的平台时,他们开始对自己的形象感到不满。在新浪微博上,三炮只有10万粉丝,其他人只有几千粉丝,对他们来说,这个平台“太高大上了”。
几个月前,蓝城去掉了快手名中的“酱爆”,只剩下他真实姓名中的“蓝城”两字。他对粉丝宣告:“酱爆已经死了。”
为了学说唱,他开始用手机软件学英文单词。他嫌老家太闭塞,没几个人知道潮鞋,懂嘻哈,县城酒吧里放的音乐都是“土嗨”。他要努力变酷。
“不能老是绑在一个地方。” 蓝城说。
三炮也想过,“以后做大了可能去外面发展”。
去年冬天,几个年轻人头一回去了北京,头一回见到下雪。一家网络音乐制作公司邀请蓝城去录歌,机票住宿自理,发行后也没有收益。他毫不迟疑地接受了邀请,带上喜欢民谣的疼叔和以后想当DJ的大表哥。第一次坐飞机前,蓝城给自己买了2000元阿迪达斯的衣服和鞋。去了北京后,3个男孩挤在200多元一晚的快捷酒店里。
尽管录的歌不是自己喜欢的风格,但蓝城觉得至少离梦想近了一步。封面图片中的他们,搭配的不再是杀马特假发、凉鞋和摩托车,而是吉他、鸭舌帽和格子衬衫。
许多粉丝并不适应这种变化,感叹“贵族气质消失了”。从打工者到农村段子手,再到网络歌手,蓝城还渴望去掉头衔中“网络”二字。他最新发行的说唱歌曲就叫《做自己》,歌里唱着:“人生只有一次,没重启,这次我想做自己。”
(部分图片由受访者提供)
(郭路瑶)
(责编:覃博雅、董菁)
关注人民网微信

我要回帖

更多关于 自己制作logo 的文章

 

随机推荐